VHDL中的通用包

时间:2012-10-31 06:55:10

标签: vhdl

是否可以拥有通用包装? 这意味着当我实例化实体时,我提供了一些泛型,而包将依赖于它。

我有一个块,我想多次使用,每次使用不同的包(即不同的参数)

我必须使用包,因为我想使用数组,我只能使用包。

3 个答案:

答案 0 :(得分:4)

是的,但这是VHDL-2008功能。因此,您是否能够使用它取决于您的工具。

此功能非常强大。

这是an example

答案 1 :(得分:0)

Xilinx的Vivado工具集目前可以实现,该工具集支持许多VHDL-2008功能。引用提供的其他答案https://docs.docker.com/docker-for-windows/troubleshoot/#volume-mounting-requires-shared-folders-for-linux-containers

答案 2 :(得分:-2)

不,它不是,因为库条款是静态评估的,你需要的是动态绑定,这在vhdl中是不可能的。

但是,您似乎想要一种方便的方法来提供通用值的不同“集合”。在这种情况下,我建议您查看用户定义的记录或数组类型。您可以定义例如包含配置数据的记录数组,并为每个实例提供自己的数组。整洁干净,并且所有工具都支持。

相关问题