应该在哪里宣布常数?

时间:2012-11-03 19:38:22

标签: vhdl

我想创建一个有两个常量的实体。我希望声明在实体声明中,而不是在体系结构中,但我需要在体系结构中使用常量。

  • 如果我在实体声明中定义它们,它们是否会在架构中被识别?
  • 当我使用实体作为组件时,我是否需要再次重新定义常量,还是只能写端口?
  • 我应该注意哪些其他差异?

2 个答案:

答案 0 :(得分:2)

回答你的问题:

  1. 体系结构中提供了实体中的常量。
  2. 组件没有(或需要)常量。
  3. 实体中的常量适用于所有体系结构,而不仅仅适用于其中一种体系结构。
  4. 但正如查尔斯在他的回答中所说,你可能想考虑使用泛型而不是常量,如果它们实际上是你想要的“常量”更改

答案 1 :(得分:1)

你知道泛型吗?看起来他们可能就是你想要的。

相关问题