如何在vhdl中使用别名命令

时间:2013-01-25 06:12:11

标签: alias vhdl

我在vhdl中使用alias命令,但有错误“索引约束不能应用于已经受约束的类型vec”。

我的代码是

    type vec is array (11 downto 0) of std_logic;
    signal s:vec;
    alias s1:vec (3 downto 0) is s(11 downto 8);
    alias s2:vec (4 downto 0) is s(7 downto  4);

1 个答案:

答案 0 :(得分:0)

这样做:

signal vec: std_logic_vector(11 downto 0);-- std_logic_vector IS an array of std_logic's
alias s1: std_logic_vector(3 downto 0) is vec(3 downto 0);
alias s2: std_logic_vector(3 downto 0) is vec(7 downto 0);-- note: Left: #4 = Right: #4