如何在VHDL中拆分整数?

时间:2013-01-26 19:32:48

标签: integer vhdl

我需要拆分计算的整数结果,以便在7段上显示其数字,但ISE不会“接受”我尝试的任何内容!也许将它转换为integer_vector?怎么样?

1 个答案:

答案 0 :(得分:1)

我假设您要在七段显示器上显示基数为10的数字,在这种情况下,这实际上是一项相对复杂的任务。它涉及将存储在二进制中的整数转换为binary-coded decimals。可以找到VHDL中的8位二进制到BCD转换器here。请注意,如果需要,可以将此算法扩展为更多位/数字。

另请注意,如果可以接受的话,以十六进制显示数字要简单得多。