VHDL RAM端口映射

时间:2013-04-06 18:03:33

标签: vhdl modelsim

我有一个ram实体,我想从2个不同的其他实体A和B访问它。 我在A和B中创建实体端口映射,但是当我在modelsim上开始模拟时,我得到两个rams一个用于实体A,一个用于实体B. 我想要的是让两个实体访问相同的ram而不是每个实体的单独rams。 如何使用modelsim pe学生版来实现这一目标?

1 个答案:

答案 0 :(得分:3)

正如您所发现的,这不是实现目标的方式。

创建一个实例化实体A和B的顶级实体,在那里创建RAM(通过您喜欢的任何技术),并通过信号将其连接到A和B的正确端口。

相关问题