Microblaze重建所需的最小文件数

时间:2013-04-25 11:32:12

标签: git fpga xilinx microblaze

我正在开发一个包含微型光泽设计的Xilinx项目。我很好奇将项目的硬件部分存储在Git(或其他一些CM工具)中所需的最小文件集,并且仍然能够在另一台机器上重建它。到目前为止,我一直无法缩小范围,但是有很多文件生成,我相信我可以摆脱它。在顶级目录中,还有_xps,bootloops,data等目录,hdl,implementation,pcores,revup,SDK和synthesis。哪些目录完全不需要,哪些文件必须在剩余的文件夹中?

到目前为止,我一直无法在任何地方找到一份好的名单(而我自己试图缩小范围也是徒劳无功的。)

2 个答案:

答案 0 :(得分:1)

makefile中有一个目标要清理。 IIRC称为hwclean - 曾经也是swclean

如果你运行它们,你将得到一个更有限的文件集。我似乎记得它留下了__xps,我觉得这是不必要的。

从另一方面来看,我需要:

  • XMP,MHS和MSS文件
  • data目录
  • 您创建的任何项目本地pcores
  • etc目录

这应该会让你在microlaze BRAM中没有代码的“空”比特流

软件将位于树外的SDK文件夹中(否则可能会被工具删除!)。 Eclipse clean做得很合理。

答案 1 :(得分:0)

我过去开发过Microblaze设计并且现在仍在维护它们,目前使用Perforce for SCM。我没有详细分析完全重新创建项目所需的绝对最小文件集,但在我列出我发现的内容之前,让我问一两个问题。

这是一个以XPS为中心的设计,还是使用ISE,Planahead或Vivado在更大的FPGA设计中实例化Microblaze软核处理器?

您使用的是哪种版本的Xilinx工具?您专门使用哪种工具来创建和使用Microblaze硬件设计?

我的最后一个包含Microblaze核心的设计是使用ISE v10.1完成的,所以如果您使用的是新工具,我的建议可能不适用。

相关问题