在VHDL中管理处理器需要哪些步骤?

时间:2013-06-15 01:42:09

标签: mips vhdl pipelining

这显然是一个家庭作业问题。我正在尝试用VHDL管道一个简单的5级(IF,ID,EX,MEM,WB),单周期MIPS处理器。我不需要为它实现转发或危险检测。我只是不确定我需要实现哪些组件。

  1. 是否有必要为每个信号创建D触发器?
  2. 管道实现here使用输出的for循环 - 这是我应该做的事情吗?
  3. 任何提示都会非常感激,我似乎无法在VHDL中找到有关流水线的更多相关信息。

1 个答案:

答案 0 :(得分:1)

您可能想要做的是为管道的每个阶段创建一个单独的实体,然后将一个阶段的输出连接到另一个阶段的输入。

为确保事物正确流水线化,您只需要确保每个阶段只执行在上升沿需要执行的任何处理。

如果你想要一个例子,请看看我的this项目。特别是在文件dft_top.vhd和dft_stage [1-3] .vhd。它在流水线级中实现了16点16位定点DFT。

相关问题