使用Quartus II将.txt文件加载到FPGA中?

时间:2013-08-22 03:34:13

标签: verilog fpga intel-fpga quartus

所以我是FPGA新手,我目前正在使用Altera DE-1板和Quartus II软件以及硬件项目。所以这是我的问题,我有一个.txt文件,其二进制图像数据为0和1,将这些数据加载到FPGA上的寄存器以进行进一步计算的最佳方法是什么。使用SDRAM?只读存储器?

我目前正在使用verilog进行编码并且之前使用过verilog文件I / O语句,但我在某处读到它显然不能用于综合。那么对我来说最好的方法是什么呢。任何建议都是受欢迎的。谢谢:))

1 个答案:

答案 0 :(得分:8)

使用quartus megawizard创建一个rom mega函数。根据图像的大小,您可以选择使用内部Block RAM或分布式RAM来实现rom。作为ROM mega函数创建的一部分,您将有机会创建MIF(内存初始化文件)。

从txt文件创建一个mif文件,并将该文件指定为ROM的初始化文件 例如。这里描述了从txt创建mif的方法 - http://www.alteraforum.com/forum/showthread.php?t=27934