枚举的防止null

时间:2014-04-16 23:01:23

标签: java enums

有没有办法在Java中创建一个不能为null的枚举?

或者,新声明的枚举是否可以使用默认值,布尔默认为false,数字类型默认为0?

2 个答案:

答案 0 :(得分:3)

  

有没有办法在Java中创建一个不能为null的枚举?

没有

  

或者,新声明的枚举是否可以使用默认值,布尔默认为false,数字类型默认为0?

没有

任何引用类型的默认值为null。

答案 1 :(得分:2)

如果我理解正确,不。你似乎误解了枚举实际上是什么。 Java中的枚举实际上只是具有私有构造函数的类的语法糖,以及它自身的一些公共静态最终实例。 (它比这复杂得多,但从根本上说它是什么。)如果你声明一个类型为枚举的变量,它与声明类型为的变量没有什么不同。任何其他类。并且任何引用变量的默认值都为null。

相关问题