你如何初始化uvm_mem?

时间:2014-08-18 11:39:12

标签: system-verilog uvm

假设我有一个输入文件(someinputdata.txt)要加载到基于uvm的内存中,这是 声明如下并在寄存器块(扩展的类)上的某处实例化 一个uvm_reg_block)。

class mymemory extends uvm_mem;
   `uvm_object_utils(mymemory)

   function new(string name = "mymemory");
      super.new(name, 32'h800, 32, "RW", UVM_NO_COVERAGE);
   endfunction

endclass

我不确定是否有内置函数来加载输入 归档到uvm_mem

如何有效地将someinputdata.txt加载到此内存中?

1 个答案:

答案 0 :(得分:2)

uvm_mem类没有实际内存的镜像。您必须通过您需要定义的前门后门方法加载它。后门是首选,因此您需要通过扩展uvm_reg_backdoor类并实现write()方法来根据需要写入内存模型来定义用户定义的后门访问。