错误vsim-3170:ModelSim PE学生版10.3d,同时开始模拟

时间:2014-11-10 22:00:37

标签: vhdl simulation modelsim

在ModelSim上,我无法为我的任何项目开始模拟。

我在两台不同的机器上安装了ModelSim,两者都给出了相同的错误信息:

对于一个简单的边缘检测器项目,这是我在“模拟 - 开始模拟”后在控制台中发生的事情:

vsim -gui work.edgedet_tb
# vsim -gui 
# Start time: 22:48:53 on Nov 10,2014
# Loading std.standard
# Loading std.textio(body)
# Loading ieee.std_logic_1164(body)
# Loading ieee.numeric_std(body)
# Loading ieee.std_logic_arith(body)
# Loading ieee.std_logic_unsigned(body)
# Loading work.edgedet_tb(structural)
# ** Error: (vsim-3170) Could not find 'C:/Users/Andy/Google Drive/DigitaleSynthese/5-11-14/appl-layer/edgedetector/work.edgedet(behav)'.
# 
# Error loading design

代码编译时没有错误/警告。

如果我检查项目目录中的工作文件夹,看起来它包含一堆标准文件,但没有与项目相关的文件。在我以前的项目中(使用早期版本),它将包含更多名称符合项目的文件。

有什么想法吗?谢谢!

1 个答案:

答案 0 :(得分:1)

事实证明其他项目做了模拟,所以这是源代码中的一个小错误:

edgedet.vhd:
ARCHITECTURE behaviour OF edgedet IS

edgedet_tb.vhd:
for uut : edgedet use entity work.edgedet(behav);
相关问题