在verilog中使用浮点加法

时间:2014-12-26 15:53:43

标签: verilog

如何在Verilog中表示浮点数?

我正在尝试使用以下代码来执行浮点加法,但我看到整数作为输出:

real r1,r2,r3;

initial begin
    r1 = 1.1;
    r2 = 1.2;
    r3 = r1+ r2;
    $display("Print the output %b", r3);
end

1 个答案:

答案 0 :(得分:1)

%b表示结果应打印为binary。如果要显示浮点数,则应使用%e指示符。

$display("Print the output %e", r3);

更改前的结果:

Print the output 00000000000000000000000000000010

之后:

Print the output 2.300000e+00
相关问题