在UVM中连接显示器和记分板

时间:2015-03-10 21:35:14

标签: system-verilog uvm

我正在构建UVM测试平台以验证简单的设计。我了解到记分板通常在代理商之外。我希望我的记分牌在代理内部,因为我在系统中只有一个代理。现在,在我的代理商中,我正在尝试连接显示器和记分板。我想知道是否有一种方法可以连接而不使用fifo。

以下是我的代码段

class my_monitor extends uvm_monitor;
  `uvm_component_utils(my_monitor)
  uvm_analysis_port #(input_seq_item) ap_port;
  input_seq_item mon_item;
  ....
endclass

class my_scoreboard extends uvm_scoreboard;
  `uvm_component_utils(my_scoreboard)
  uvm_analysis_export #(input_seq_item) ap_port_sb;
  ...
endclass

class agent extends uvm_agent;
  `uvm_component_utils(agent)
  sequencer sqr;
  my_driver drv;
  my_sequence seq;
  my_monitor mon;
  my_scoreboard sb;
  ...
    function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    drv.seq_item_port.connect(sqr.seq_item_export);
    mon.ap_port.connect(sb.ap_port_sb);
  endfunction
  ...
endclass

我收到以下错误

# KERNEL: UVM_INFO @ 0: reporter [RNTST] Running test test...
# KERNEL: UVM_ERROR @ 0: uvm_test_top.env.sb.ap_port_sb [Connection Error] connection count of 0 does not meet required minimum of 1
# KERNEL: UVM_FATAL @ 0: reporter [BUILDERR] stopping due to build errors
# KERNEL: UVM_INFO /home/build/vlib1/vlib/uvm-1.2/src/base/uvm_report_server.svh(855) @ 0: reporter [UVM/REPORT/SERVER] 

任何人都可以帮我吗?

提前致谢

4 个答案:

答案 0 :(得分:3)

问题是您是否将记分板分析导出挂起,但需要将其连接到imp端口。如果您熟悉SystemC,则imp端口没有直接等效项。导入基本上是TLM分析连接的终止点。然后,imp端口将调用转发给实例化它的组件。

将您的代码更改为uvm_analysis_imp #(...)并声明write(input_seq_item ite)函数,以便它可以调用,一切都应该有效。

答案 1 :(得分:1)

检查:您是否正在使用构造函数来创建分析端口?

//For monitor
function new(..);
..
monitor_ap = new("monitor_ap", this);
endfunction

另请尝试使用Subscriber类default analysis_export!

答案 2 :(得分:0)

如果您不想声明write()函数,则使用FIFO是最佳选择。 这很简单。以下是您编辑的代码..

 class my_monitor extends uvm_monitor;
`uvm_component_utils(my_monitor)
 uvm_analysis_port #(input_seq_item) ap_port;
 input_seq_item mon_item;
 ....
 endclass

class my_scoreboard extends uvm_scoreboard;
 `uvm_component_utils(my_scoreboard)
  uvm_analysis_export #(input_seq_item) ap_port_sb;
  ...
endclass

class agent extends uvm_agent;
 `uvm_component_utils(agent)
 sequencer sqr;
 my_driver drv;
 my_sequence seq;
 my_monitor mon;
 my_scoreboard sb;
 uvm_tlm_analysis_fifo fifo;
 ...
   function void connect_phase(uvm_phase phase);
     super.connect_phase(phase);
     drv.seq_item_port.connect(fifo.analysis_export);
     mon.ap_port.connect(fifo.analysis_export);
   endfunction
...
endclass

我认为这将解决您的问题..

答案 3 :(得分:0)

通常,当您使用导出时,必须将发送给它的数据/事务传递给导入(标记管道的末尾)。因此,除非您要将正在接收的记分板中的数据发送到其他块,否则您可以在代码中使用uvm_analysis_imp #(...)。 在这样做时,您将记分板作为目标,并监视为启动器,因此必须在记分板中实现写入功能,并且只要事务必须通过流水线操作就从监视器调用。

相关问题