VHDL书籍示例

时间:2015-04-26 15:20:50

标签: vhdl

我刚刚开始学习VHDL,并且认为我会把书中的例子放到编译器中,然后附加一个约束文件,然后尝试在CPLD板上运行它。问题是,一旦所有代码完成并且编译器完成并且我将其编程到板上,我从输入中得不到板的响应。

我现在想知道我是否在从书中删除的VHDL代码中犯了一个错误,我没有发现这本书的任何差异,但我正在跳过另一个有更多经验的人可以查看它并指出我是否做了一个错误。

--Truth Table page 193

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity TruthTable is
    Port (
        a,b,c : in bit;
        y     : out bit);
end TruthTable;

architecture truth of TruthTable is
    Signal in_bits : Bit_vector (2 downto 0);
begin
    in_bits <= a & b & c;
        with in_bits select
        y    <= '0' when "000",
                    '0' when "001",
                    '0' when "010",
                    '1' when "011",
                    '1' when "100",
                    '0' when "101",
                    '1' when "110",
                    '1' when "111";                 

end truth;

1 个答案:

答案 0 :(得分:0)

你的代码很好。我猜这个问题在于VHDL引脚a,b,c和d与电路板的CPLD引出线之间的映射。

我建议您修改综合和程序流程,并仔细检查您的引脚映射。