Vivado 2014.1:无法为自定义FPGA上的SPI闪存启动.mcs文件

时间:2015-07-13 22:02:48

标签: verilog fpga spi vivado

我在Vivado编写了一个3位乘法器的程序。我试图将该程序存储在设备的SPI闪存中。生成.mcs文件,选择配置内存,上传.mcs文件,上传比特流文件等的整个过程似乎工作正常(我没有错误)。但是当我尝试启动设备时,我得到了......

  

错误:[Labtools 27-2254]从配置记忆设备启动   不成功。

我听说Vivado 2014.1存在问题,但有一项特殊的工作,但即使这样也行不通。

http://www.xilinx.com/support/answers/61067.html

或解决方法指南。

http://forums.xilinx.com/t5/7-Series-FPGAs/Using-AR61067-for-SPIx4-Configuration/m-p/570312#M8339

所以我想知道是否有一些我缺少的东西,比如编写代码的方法 - 或者使用TCL命令创建.mcs文件的重要信息?

程序版本:Vivado 2014.1

设备:自定义FPGA

0 个答案:

没有答案