SystemVerilog类中的内存分配

时间:2015-09-28 11:22:23

标签: oop system-verilog

SV中是否有任何系统任务或函数可以知道为一个类的对象分配了多少内存?

的问候,
H.Modh

2 个答案:

答案 0 :(得分:1)

SystemVerilog不是那种你需要知道为一个类的对象分配多少内存的语言,因为你不能自己执行任何低级内存操作。这使得仿真供应商能够自由地实现他们想要的任何东西(例如,来自供应商A的工具可以使用比来自供应商B的工具更多的物理内存,并且可能更快)。

答案 1 :(得分:1)

没有。 SystemVerilog自动管理内存,您不应该知道任何类型对象的内存资源。也就是说,大多数工具供应商提供的容量报告可以告诉您不同类别的构造所占用的内存量以及为每种类型类型创建的对象数量。