haxeUI项目不会编译为windows /错误C2259:无法实例化抽象类

时间:2015-11-06 23:40:51

标签: haxe openfl haxeui

以下示例代码使用neko编译并运行,但在尝试编译到Windows时返回错误消息:

import haxe.ui.toolkit.core.Toolkit;
import haxe.ui.toolkit.core.Root;
import haxe.ui.toolkit.core.interfaces.IDisplayObject;
import Sys.println;

class Main {
   public static function main() {

      Toolkit.openFullscreen(function(root:Root) {

      });
      println('test program has run...');
   }
}

我收到的错误消息对我没有任何意义(还有更多,但似乎重复):

  

./ src / haxe / ui / toolkit / core / renderers / ItemRenderer.cpp(161):错误C2259:' haxe :: ui :: toolkit :: core :: interfaces :: IStyleableDisplayObject_delegate _' :无法实例化抽象类           同           [               IMPL = haXe的UI :: ::工具::核心::渲染:: ItemRenderer_obj           ]           由于以下成员:           ' Void haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: addEventListener(String,Dynamic,hx :: Null,hx :: Null,hx :: Null)' :是抽象的           同           [               T =布尔           ]           include \ haxe / ui / toolkit / core / interfaces / IDisplayObject.h(127):参见' haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: addEventListener'           ' Dynamic haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: addEventListener_dyn(void)' :是抽象的           include \ haxe / ui / toolkit / core / interfaces / IDisplayObject.h(128):参见' haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: addEventListener_dyn'           ' bool haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: dispatchEvent(openfl :: _ legacy :: events :: Event)' :是抽象的           include \ haxe / ui / toolkit / core / interfaces / IDisplayObject.h(129):参见' haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: dispatchEvent'           ' Dynamic haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: dispatchEvent_dyn(void)' :是抽象的           include \ haxe / ui / toolkit / core / interfaces / IDisplayObject.h(130):参见' haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: dispatchEvent_dyn'的声明。           ' bool haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: hasEventListener(String)' :是抽象的           include \ haxe / ui / toolkit / core / interfaces / IDisplayObject.h(131):参见' haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: hasEventListener'           '动态haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: hasEventListener_dyn(void)' :是抽象的           include \ haxe / ui / toolkit / core / interfaces / IDisplayObject.h(132):参见' haxe :: ui :: toolkit :: core :: interfaces :: IDisplayObject_obj :: hasEventListener_dyn'

非常感谢任何帮助。

1 个答案:

答案 0 :(得分:2)

这是Haxe 3.2.1的一个问题。

如果你降级到3.2.0那么它应该有用。

相关问题