在Assignment Editor中连接网络 - Quartus

时间:2016-01-03 12:24:44

标签: verilog quartus nios qsys

我目前有一个Qsys项目,包括NIOS II处理器(和外围设备),PIO模块以及我自己的一个组件,它有两个输入和八个输出。我希望从PIO驱动输入,并将8个输出连接到FPGA的引脚。我创建了一个带有导管IO和一个时钟输入的组件 - 没有Avalon接口。

我不知道如何在Qsys中连接各个信号,所以我尝试在Qsys中将它们保持未连接状态,然后在Quartus的Assignment编辑器中连接它们,但是当我运行CPU时这并不起作用。

我的组件在模拟中工作,我可以成功地读取/写入PIO,因此它必须是连接。

在作业编辑器中,我使用过'全球信号'作为'作业名称'以及'价值'关闭(我相信,这使得Quartus不会在全球范围内分发信号)。

有人可以告诉我如何正确连接信号吗?

由于 乔治

0 个答案:

没有答案