使用fphdl将float转换为vhdl中的整数

时间:2016-02-19 23:53:16

标签: integer vhdl point floating

我想使用fphdl包,以便在我的vhdl代码中将浮点数转换为整数。但是我甚至无法编译使用相关函数to_integer的赋值。我总是得到错误'子程序没有可行条目到整数'

该函数声明为

CollectionEditor

我试图像使用它一样:

  function to_integer (
arg                : UNRESOLVED_float;  -- floating point input
constant round_style : round_type := float_round_style;  -- rounding option
constant check_error : BOOLEAN    := float_check_error)  -- check for errors
return INTEGER;

但不起作用

成功使用此软件包的人的帮助?

1 个答案:

答案 0 :(得分:0)

好吧,好像我找到了这样的解决方案:使用包中的float32子类作为函数的输入到_integer之前我还必须使用to_float函数将std_logic_vector转换为float。结果似乎很好!感谢您的意见!!!我希望综合的一切顺利:)