总是在完成一项任务?

时间:2016-03-10 05:26:02

标签: verilog

我正在使用Verilog编程FPGA。

我有我的顶级模块,我想调用一个包含override func numberOfSectionsInTableView(tableView: UITableView) -> Int { // #warning Incomplete implementation, return the number of sections return 1 } 块的Task

always是在UART中序列化字节的例程。 (输入:baudrate_clk,bytetosend;输出:TxD,它是物理输出引脚)

Task

我在第一个module serial(); task serial; input baudrate; input [7:0] data; output TxD; reg [3:0] state; begin always @(posedge baudrate) begin case(state) // ... endcase end end always @(state[2:0]) begin case(state[2:0]) // ... endcase end assign TxD ... end endtask endmodule unexpected token: 'always'

获得always

我读过Task可以包括wait,posedge等......

如果我不能使用Taks,我可以为此目的使用什么?

谢谢。

1 个答案:

答案 0 :(得分:1)

您的任务应该是一个模块,或者您应该在任务中使用循环。很难看出你的设计意图,但在我看来你需要一个模块,而不是一个任务。 任务包含顺序代码,就像always块一样。任务只是另一个放置始终块内部代码的地方。将always块放在任务中是没有意义的。