“顶级设计实体未定义”......这是什么意思?

时间:2016-06-20 17:24:33

标签: vhdl intel-fpga

这是代码并将其保存为IR.vhd,而项目名称保存为“8051”

当我尝试在altera中编译vhdl程序时,它显示“错误(12007):顶级设计实体”8051“未定义 “......这是什么意思?

图书馆ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;



entity IR is

port(clk,rst,pb1:in std_logic;

irreg:in std_logic_vector(15 downto 0);

ops:out std_logic_vector(2 downto 0);

modes:out std_logic;

loc1:out std_logic_vector(3 downto 0);

loc2ordata:out std_logic_vector(7 downto 0));

end IR;



architecture rtl of IR is



signal ireg: std_logic_vector(15 downto 0);



begin



process (pb1)

begin

if(pb1='0')then --I am going to set up to feed in one instruction at a time

ireg<=irreg;    --the instruction is executed when pb1 is pressed

end if;

end process;

ops<=ireg(15 downto 13);

modes<=ireg(12);

loc1<=ireg(11 downto 8);

loc2ordata<=ireg(7 downto 0);

end rtl;

1 个答案:

答案 0 :(得分:0)

我注意到的是顶级实体名称需要与文件名和模块名称相同。因此,如果您调用顶级IR,则该文件可能需要为IR.v.现在我从不大写我的文件名,所以我实际上并不知道大写匹配是否重要。

相关问题