计算大整数常量,同时保持精度

时间:2016-07-13 17:38:21

标签: vhdl

我在VHDL中计算一个整数常量,它是两个大常数(2 ^ 17和1亿)的乘积除以2 ^ 19数量级的泛型参数。

为了避免溢出错误,我必须安排组件,以便没有中间结果超过2 ^ 31,但这会使最终结果的精度降低不可接受的数量(需要22位精度,得到14)。由于分母是通用的,我不能将它分成素数因子,以通过分子与分母之间的较大比率进行除法来保持中间结果的准确性。

这是唯一的方法来拉入math_real包,用reals计算并转换为整数吗?

请注意,这是用于综合的,但考虑到我从编译时都知道的值计算常量,它应该无关紧要。

0 个答案:

没有答案