systemverilog中定点数的表示

时间:2016-09-13 22:23:00

标签: system-verilog

我应该如何在systemverilog中表示一个固定点数,因为它不支持reg和logic的定点数。使用真实数据类型是正确的方法还是我们可以使用任何不同的数据类型? 我试图在systemverilog中做一个平方根函数,其中结果将在FP中,例如sqrt(8)= 2.82。 什么应该是我的输入和输出(sqrt)的数据类型,以便我可以在验证时正确检查小数点位置。

1 个答案:

答案 0 :(得分:3)

您使用整数类型作为定点数。有些人会将他们的变量编入索引,如

logic [M-1:-F] fp_number; // M-bits integer, F bit fractional

但是,在添加不同大小的数字以及调整乘法和除法时,由您来调整小数点。有一些OpenCore库可以为您提供许多这些操作。

相关问题