vivado中项目模式和非项目模式的主要区别是什么?

时间:2016-10-27 03:52:30

标签: verilog xilinx synthesis vivado

当合成完成后,我得到许多文件,如.fw,.mcs,.prm和.bit文件,我们可以将除.bit文件以外的其他文件转储到FPGA中吗?哪一个更有利于项目模式还是非项目模式?编码在verilog中完成。

1 个答案:

答案 0 :(得分:3)

最佳解释可能在Xilinx文档UG892中找到,可以从他们的网站下载。第2章第18页给出了详细信息:

http://www.xilinx.com/support/documentation/sw_manuals/xilinx2016_2/ug892-vivado-design-flows-overview.pdf

基本上在项目模式中,您可以添加复制到新目录结构中的文件,设置选项并设置所需的综合/放置和路径运行,然后Vivado管理运行的实际命令。它更像是IDE中的按钮流程,但也可以编写脚本(同样所有的设置都在前端,然后你基本上会说' go'它可以解决它需要的东西做)。

在非项目流程中,您可以完全控制编译过程(不复制文件,它们只是从您提供的位置使用)和综合过程,并管理所有设置和命令自己跑它需要TCL知识来编写脚本,但文档中有示例流程。

我们使用非项目流,因为它没有使用二进制项目文件,并且完全是从TCL和Makefiles编写的(这不是Vivado工作方式的组成部分,但使我们的生活变得如此简单)。虽然设置起来有点棘手,但它可以为您提供更多控制,允许您随时检查设计,并且更容易放入版本控制系统,因此您可以跟踪约束中的更改并构建选项。

相关问题