VHDL将std_logic_vector的非常量部分与零进行比较

时间:2016-11-27 13:51:56

标签: vhdl

假设我有一个向量:

action_complete: in std_logic_vector(n-1 downto 0)

如果向量的PART全部为零,比较范围取决于J(整数),我如何比较(在if语句中)?整数在同一个进程中被更改(状态机使用时钟),但处于其他状态。

我想要做的示例代码:

when s1 =>
    J<=J+1;
when s2 =>
    if action_complete(J-1 downto 0)=('all zeros') then ..

0 个答案:

没有答案