FATAL_ERROR:达到迭代限制10000

时间:2017-04-06 14:12:53

标签: vhdl fpga fatal-error xilinx vivado

我花了两个多星期的时间面对一个探测器,我正在编程一个artix 7 FPGA。这个过程很简单:

  • 串行模块接收2个比特流(2个字节)
  • 根据这2个字节的Demux模块在数组puf_en
  • 中启用2位

当我将demux模块添加到whoe设计时,在模拟过程中我收到此错误: FATAL_ERROR:达到迭代限制10000。

demux的代码在这里:

    PUF_STATE_PROCESS:process(clk,uart_read,PUF_signal,UART_READ_FLAG)
begin
    if (rising_edge(clk)) then
        if (uart_read="11111111") then-- this means reset
                                stop_s<='0';

                                puf_signal<=initial;
                                reset_s<='1';
                                LED_S<="1111";

        else

            case puf_signal is
                    When initial=>
                              reset_s<='1';
                              puf_en_s<=(others=>'0');
                              LED_S<="0001";
                              --if   UART_READ_FLAG='1' then
                                if uart_read/="11111110" then

                                else
                                         stop_s<='0';

                                         puf_signal<=ch_i;                                   
                                end if;   
                            --   end if;      
                    When ch_i =>
                                if UART_READ="11111110" or UART_READ="11111111"  then

                                else
                                        ch_i_s<=(uart_read);

                                        puf_signal<=ch_j;
                                        LED_S<="0010";
                                end if;
                    when ch_j=>
                               if UART_READ="11111110" or UART_READ="11111111" or uart_read=ch_i_s  then

                               else
                               ch_j_s<=(uart_read);
                              mux_en_s_j<=uart_read;
                               puf_signal<=start;
                               timer_s<=(others=>'0');
                               LED_S<="0011";
                                end if;
                    when start=>
                                reset_s<='0';

                                if timer_start<10000 then
                                        mux_en_s_i<=ch_i_s;
                                        mux_en_s_j<=ch_j_s;
                                       timer_start<=timer_start+1;

                                        for i in 0 to (RO_Number) loop
                                            if i=ch_i_s then
                                                puf_en_s(i)<='1';                    
                                            elsif i=ch_j_s then

                                              puf_en_s(i)<='1';
                                            else
                                                puf_en_s(i)<='0';

                                             end if;
                                          end loop;            


                                       LED_S<="0100";
                                else

                                        puf_signal<=finish;
                                        timer_start<=0;
                                        LED_S<="0101";
                              end if;

                      when finish=>
                      if timer_s<timer_max_value then
                              timer_s<=timer_s+'1';

                              puf_en_s<=(others=>'0');
                              LED_S<="0100";
                      else
                             stop_s<='1'; 
                             timer_s<=(others=>'0');
                             LED_S<="0111";
                             puf_signal<=initial; 
                      end if;


            end case;
         end if;
    end if;
end process;

有人能告诉我我的代码有什么问题吗?我测试了不同的方法,但我有这个错误。

由于

1 个答案:

答案 0 :(得分:0)

主要问题不在于此代码。它实际上与环形振荡器相关。在环形振荡器中存在零延迟的组合环路并且导致了这个问题