在Verilog和Systemverilog中$ realtime返回多少位?

时间:2017-05-20 20:18:43

标签: verilog system-verilog

$ realtime 在Verilog和 Systemverilog 中返回多少位?

2 个答案:

答案 0 :(得分:2)

$realtime不返回位,它返回一个双精度浮点数,它需要1位用于符号,11位用于指数,52位用于尾数。您无法访问实数的各个位,因此总位数无关紧要。

答案 1 :(得分:0)

来自sutherland hdl快速参考。 doc中的Pg 40,pdf viewer中的44

http://www.sutherland-hdl.com/pdfs/verilog_2001_ref_guide.pdf

  

$时间

     

$ STIME

     

$ realtime

     

将当前模拟时间作为64位向量返回,a   分别为32位整数或实数。

返回的值取决于您的timescale。即如果时间刻度为1ns / 1ps,并且你跑了1us,你将返回1,000.000。

相关问题