在执行中更新VHDL通用映射值

时间:2017-06-30 17:35:17

标签: vhdl

可能是一个简单的,但已经有一段时间了,因为我涉猎VHDL,但我试图弄清楚如何在实例化后,当事件发生时正确更改子组件的通用值。下面的一些伪代码跳到了这一点:

U1: PARITY
  generic map (N   => 8)
  port map    (A   => DATA_BYTE,
               ODD => PARITY_BYTE);
....

process(Some_button_click)
begin
  // Change generic map value for N (integer) in PARITY to 10 //

end process;

我不在代码前面,但我尝试使用'共享变量'无济于事......任何帮助都非常感谢,因为这对谷歌来说很难。

1 个答案:

答案 0 :(得分:3)

我理解你想做什么,但据我所知,泛型只是用来使组件可重用和灵活。在运行时更改常量值没有意义。我的建议是,如果你需要更改值,最好使用信号端口

相关问题