VHDL过程 - 需要多少个触发器

时间:2017-10-13 14:36:06

标签: vhdl flip-flop

善意的简单问题, 我知道它的一般情况,但这正是我要问的原因......

如果我在vhdl中编写代码并使用以这种方式启动的进程:

Process(clk,x,y,x)
begin
...
end process

有什么方法可以让我不必保存x,y,z值?我理解这一点的方式,如果我不保存它们,我将不能说如果其中一个改变了意味着我必须保存它们。

我正在和朋友一起上大学写作,我们有不同的意见。非常感谢帮助者!

1 个答案:

答案 0 :(得分:0)

这完全取决于您的需求。

如果您不知道 - 当您在VHDL中为FPGA,CPLD等制作一些项目时,您必须忘记您对编程的了解,因为您正在设计硬件,而不是软件。大多数情况下,如果不是总是,您不必保存此值,例如,当您进行组合电路时。在这种情况下,您不关心之前的情况,而只关心当前的值。使用有限状态机查看一些examples,其中包含具有组合逻辑的过程。

最后 - 如果你把时钟放到灵敏度列表中,这意味着你希望它是同步的,并且进程只会在这个时钟的某个边缘启动,所以再向它添加信号(复位除外)是没有意义的。