使用-c标志以交互方式运行modelsim时如何关闭过度缓冲?

时间:2017-11-06 21:07:39

标签: verilog modelsim

使用-c标志运行modelsim时如何关闭控制台打印的过度缓冲?例如:

C:\> vsim -c
VSIM> source run.do

run.do只是一个用于编译设计并运行10毫秒的modelsim脚本。当它遇到脚本中的vsim命令时会出现问题,所有输出都被缓冲,直到我按下Ctrl-C或我等待几分钟才能完成模拟,而不会在模拟过程中显示$ display&.. (它很好的代码可合成的verilog。没有像异步循环一样有趣的事情。)

来自verilog模拟的日志输出在按下Ctrl-C之前不会显示。

使用随英特尔/ Altera Quartus 17和Windows 10一起发布的免费轻量版Modelsim。

1 个答案:

答案 0 :(得分:0)

您可以尝试使用批处理模式获取输出而不进行缓冲,但不能同时进行交互。

vsim -batch -do run.do