Altera设计库不包含主单元

时间:2017-12-13 06:39:28

标签: shared-libraries fpga intel-fpga quartus

我正在使用以下altera 2008库:

library altera_2008;
use altera_2008.fixed_generic_pkg.all;

运行Quartus 17.1编译器时出现以下错误信息:

Error (10481): VHDL Use Clause error at Polyfit.vhd(48): design library "altera_2008" does not contain primary unit "fixed_generic_pkg". Verify that    the primary unit exists in the library and has been successfully compiled.

Quartus库位于以下标准文件夹中:

 quartus\libraries\vhdl\ieee\2008

问题: 为什么在使用标准Altera库时会出现这样的错误消息?如何解决?

谢谢!

0 个答案:

没有答案
相关问题