Config类中的虚拟接口

时间:2018-01-16 23:47:40

标签: system-verilog uvm test-bench

如何在不使用uvm_config_db的情况下使用虚拟接口从配置类中指出TB_top中的接口?

1 个答案:

答案 0 :(得分:1)

此问题测试您对uvm_config_db的了解,run_test()只是uvm_pkg中全局变量的数据库。您需要做的就是在包中定义配置类的虚拟接口变量,然后在调用package my_config_pkg; virtual my_interface vif; class my_configuration; ... endclass endpackage module TB_top; my_interface ifinst(); initial begin my_config_pkg::vif = ifinst; run_test("my_test"); end endmodule

之前设置它
cnts, hiers = cv2.findContours(...)[-2:]
相关问题