有没有办法模拟systemverilog的条目?

时间:2018-04-21 16:13:59

标签: system-verilog

我有以下输入:logic SWI[7: 0],我想用其他可执行文件控制,例如在C ++中。

我有以下输出:logic LED[7:0], SEG[7: 0],我想实时获取。

有没有办法模拟systemverilog,我可以模拟输入和输出(在这个模型中)?

我想要运行的示例代码:

logic T1, T2;
always_comb begin
    T1 <= SWI[0];
    T2 <= SWI[1];

    LED[0] <= T1 && T2;
end

我很抱歉英语不好。

1 个答案:

答案 0 :(得分:0)

您可以使用DPI(链接到模拟的C / C ++代码)来获取/设置Verilog信号的值。然后,您可以将此DPI代码中的某些IPC实现为另一个可执行文件(例如,使用套接字,管道等)。

相关问题