将信号分配给非父模块

时间:2018-10-08 17:36:01

标签: chisel

我知道在Verilog中,如果要传播信号,则必须将信号添加到模块的端口并在所有模块之间传播,直到能够连接为止。我怀疑凿子也一样,但是我是新手...

是否可以将子模块的信号直接连接到更高级别模块上的端口?

例如:

模块层次结构

top-> my_peripheral-> thecounter_inside_peripheral-> output_my_signal top-> another_peripheral-> input_process_signals

my_peripheral.the_counter_inside_peripheral.output_my_signal := another_peripheral.input_process_signals

1 个答案:

答案 0 :(得分:2)

在这方面,凿子与Verilog相同。在下一个主要版本(3.2)中,我们将提供对此类可综合跨模块连接的实验性支持,但尚未在已发布的版本中提供。

如果您是从源代码构建的,则可以通过查看BoringUtils来尽快尝试。

相关问题