当IAM尝试在modelsim中进行仿真时,没有对象

时间:2018-12-10 18:54:43

标签: verilog modelsim

module alu64bit_test;
// Put your code here
// ------------------
reg [63:0] a;
reg [63:0] b;
reg cin;
reg [1:0] op;
wire [63:0] s;
wire cout;
// End of your code
alu64bit alu2(
.a(a),
.b(b),
.cin(cin),
.op({op[1],op[0]}),
.s(s),
.cout(cout)
);
initial begin
{a}=0;
{b}=0;
{b}=~b;
cin =0;
op[0]=1;
op[1]=0;
end 


initial begin
#2000 a[0]=1;
//#2000 cin =~cin;
end
endmodule

这是代码,我正在尝试模拟此测试,并且波形窗口中没有任何对象出现,其他测试运行得很好

1 个答案:

答案 0 :(得分:0)

对象不可见的原因之一是因为该工具优化了。您可以通过在加载代码时不进行任何优化来避免这种情况。该命令(或选项)根据您的工具版本而有所不同。我在上面的代码上使用了questasim 10.7b来关闭优化,所有对象都是可见的。我使用的vsim命令是

vsim -voptargs=+acc alu64bit_test

相关问题