如何使用Verilog将二进制浮点数转换为十进制数?

时间:2018-12-20 15:16:06

标签: binary verilog decimal-point

我正在编写程序。像这样的格式有一个二进制浮点数:XX.XXX。例如,二进制浮点数01.101转换为十进制数为1.625。我试了很长时间,但无法解决。

我使用[4:0] num来存储数字。 num [4:3]是整数部分,num [2:0]是浮点部分。整数部分很容易,当num [2:0] = 3'b101时,表示二进制浮点部分为0.101,转换为十进制数为0.625。那么如何转换序列“ 101”,获得序列“ 625”?

1 个答案:

答案 0 :(得分:0)

最快的方法可能只是使用LUT(查找表)。由于小数部分只有3位,因此只有8种可能性。您可以使用12bit的值,其中每个半字节都是可以发送到显示器等的数字。

...