在测试仪中使用多个时钟

时间:2019-06-12 18:15:08

标签: chisel

我有一个带有多个时钟的模块,使用withClockAndReset。编写测试平台时,如何在指定的时钟端口上提供时钟激励?

2 个答案:

答案 0 :(得分:3)

凿子测试器执行所需功能的能力非常有限。我认为在标准凿子堆栈中最好的选择是尝试使用新的chisel-testers2。该仓库中有一个单元测试示例:ClockDividerTest.scala和其他几个与时钟相关的测试。目前,这是凿子开发的一个非常活跃的领域。如果可以尝试的话,团队对完成这项工作非常感兴趣。

答案 1 :(得分:0)

我最终遵循了该示例的精神,但不需要凿测试器2。

class MyModuleTestFixture(<params> extends Module {

  val dut = Module(new MyModule(<params>))

  val divClock = RegInit(true.B)
  divClock := ~divClock

  dut.io.explicit_clk := divClock.asClock()
  dut.io.all_other_ios <> io.all_other_ios
}

这是生成显式时钟的一种限制性方式,但达到了我的目的。

相关问题