如何在VHDL代码中随机化数组的元素?

时间:2019-06-21 12:35:44

标签: random vhdl intel-fpga

我有一个表,其中包含已知数量的元素。我想将其元素随机化,以便每次显示时,其元素都以随机顺序显示。在FHD中使用Fpga stratix3

1 个答案:

答案 0 :(得分:0)

没有简单的VHDL语言解决方案可以在硬件上生成随机数。 要生成伪随机数,可以使用LFSR。参见例如: Pseudo Random Number Generator using LFSR in VHDL

要生成一个真实的随机数,必须创建一个环形振荡器随机数生成器。 参见例如: https://security.stackexchange.com/questions/81843/ring-oscillator-true-random-number-generator-trng-vs-physically-unclonable-fu

对于仿真,VHDL内置了对随机数的支持。参见例如: Generating random integer in vhdl

然后您可以使用生成的随机数来随机化数组。

相关问题