Verilog中的异步重置机制

时间:2019-07-02 02:57:56

标签: verilog fpga formal-verification flip-flop yosys

  

如果(异步复位和write_en)在同一时钟上为true,然后在下一个时钟上为低电平,
  那么异步重置将被忽略并应用write_en

任何人都可以在asynchronous reset block中解释上述评论声明吗?

enter image description here

0 个答案:

没有答案