如何手动编译共享dpi库?

时间:2019-07-06 18:14:43

标签: c system-verilog dpi

我尝试导入一些在SystemVerilog中生成数组的C函数。
这是代码:

#include "svdpi.h"
#include <stdlib.h>
#include <stdio.h>
#include <string.h>
void getPacket(int packetSize, svOpenArrayHandle fpSig, svOpenArrayHandle err)
{
    int* cfpSig = (int*) calloc(packetSize, sizeof(int));
    double* cerr = (double*)calloc(packetSize, sizeof(double));

    for(int i = 0; i < packetSize; ++i)
    {
        cfpSig[i] = i;
        cerr[i] = 1.1*i;
        printf("%d %f\n",cfpSig[i],cerr[i]);
    }
    printf("----------");
    memcpy((int*) svGetArrayPtr(fpSig),cfpSig,packetSize);
    memcpy((int*) svGetArrayPtr(err),cerr,packetSize);
    free(cfpSig);
    free(cerr);
}
import "DPI-C" function void getPacket(input int packetSize,
                                    output int fpSig[], output real err[]);
module top();
    initial begin
        parameter int packetSize =  4;
        int fpSig[packetSize];
        real    err[packetSize];
        getPacket(packetSize,fpSig,err);
        for(int i = 0; i < packetSize; ++i) begin
            $display("fpSig: %d\nerr  : %f",fpSig[i],err[i]);
        end
    end

endmodule

但是当我手动编译C代码时,在链接阶段会生成错误:undefined reference to 'svGetArrayPtr'
我以前没有使用过svOpenArrayHandle,它足以连接头文件“ svdpi.h”。我试图在questa安装文件夹中寻找svdpi.dll库,但没有找到。

如果我通过vlog编译c文件可以正常工作,但是我想手动编译它,因为我计划包括matlab库,并且通过vlog进行编译会变得不舒服。

1 个答案:

答案 0 :(得分:0)

在Questasim模拟器中,包含svGetArrayPtr符号的库为mtipli.dll

相关问题