如何在Verilog中为二维数组赋予初始值

时间:2020-05-24 00:42:30

标签: verilog system-verilog

我正在开发一个SPI模块,其中涉及一个主设备和三个从设备。在测试台上,我想通过多维数组(这是SPI模块的输入)给每个从属设备并行加载

reg [7:0] slaves_inload [3:1]= ?

我不知道该在RHS上写些什么。假设我想分别为奴隶[1],[2],[3]传递8'b111011018'b101010108'b10001000

1 个答案:

答案 0 :(得分:2)

一种初始化数组的方法如下:

reg [7:0] slaves_inload [3:1] = '{8'b10001000, 8'b10101010, 8'b11101101};
                                 //    3            2            1

此语法可以在IEEE Std 1800-2017第10.9.1节数组分配模式中看到。

相关问题