将 std_logic_vector 与逻辑运算符一起使用

时间:2021-01-28 00:56:34

标签: vhdl

我正在尝试对 std_logic_vector 信号和 std_logic 信号使用逻辑运算符,并获取逻辑 1(高)或逻辑 0(低)的输出并将其放入 { {1}} 信号

room1

但是,我遇到了错误。我是 vhdl 的新手,这是怎么做的?谢谢!

0 个答案:

没有答案
相关问题