FPGA开发入门

时间:2011-10-23 22:48:48

标签: c++ c fpga

我想获得一个FPGA开发板,目标是模拟CD-ROM驱动器。 我的问题是:

  • 是否有任何允许用C / C ++而不是VHDL编写代码的fpga?
  • 如果你不得不推荐一块板,那会是哪一块?
  • 您建议购买哪本书?
  • 在继续前进之前还有什么我应该知道的吗?

2 个答案:

答案 0 :(得分:3)

A)直接编写C ++代码没有意义,但是你可以模拟处理器并向其编写C / C ++。我做到了这一点。我建议ALTERA的首发套件不要为初学者买一些非常昂贵的东西。

B)http://www.altera.com/products/devkits/altera/kit-cyc2-2C20N.html这是非常好的例子。我使用C。

在其上实现了一个dsp

Altera有你可以实现的NIOS CPU。这不是最简单的事情。您至少需要基本的VHDL知识才能做到这一点。

C)http://www.amazon.com/Designing-FPGAs-CPLDs-Bob-Zeidman/dp/1578201128涵盖了基础知识。

D)您应该了解数字架构。 C / C ++与VHDL不同,在了解核心组件的工作原理之前,您无法获得FPGA的真正功能。加法器,ALU,MUX,DEMUX,MULTIPLIERS等是任何数字电路的组成部分。您需要知道如何组合它们以便并行化解决方案并利用硬件。

答案 1 :(得分:1)

A)作为一种替代方法,有一些C语言可以编译成VHDL或Verilog。有关详细信息,请参阅维基百科上的C to HDL

这种语言的编译器通常会为您的特定“程序”定制电路设计。例如,如果你想计算一个* b + c * d + e * f,你最终可能会得到一个包含三个乘法器和两个加法器的电路,并且可以针对不同的(a,b,c,d)运行该计算。 ,e,f)每个周期都有一个元组,有点像pipeline

我想这取决于你想做什么。对于低级应用程序,CPU比定制电路慢,但它绝对更容易编程。

D)我同意FailedDev。你应该从基础开始,乱用VHDL或Verilog并点亮一些LED(例如,实现二进制计数器)。