进程语法错误

时间:2011-12-30 03:15:05

标签: vhdl

我正在尝试模拟我的小程序,并且我不断收到错误消息,但我无法找出原因。

错误消息是:

line 131 error near process

line 132 error near behavioral ; expected type void

行:

 130    end if;
 131    end process;
 132        end Behavioral;

我试图解决这些问题几个小时,我仍然没有任何线索。

整个代码:

use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity kuutonen is
    Port ( A1 : in  STD_LOGIC;
           B1 : in  STD_LOGIC;
           clk : in  STD_LOGIC;
           A : out  STD_LOGIC;
           B : out  STD_LOGIC;
           C : out  STD_LOGIC;
           D : out  STD_LOGIC;
           E : out  STD_LOGIC;
           F : out  STD_LOGIC;
           G : out  STD_LOGIC);
end kuutonen;

architecture Behavioral of kuutonen is
    signal tmp : std_logic_vector (2 downto 0);
begin

    process (clk)
    begin
        if(tmp = "110")then
            tmp <= "000";
         end if;

        if (A1 = '0' and B1 = '0') then
            if (tmp ="000") then
                A <= '1';
                B <= '0';
                C <= '0';
                D <= '0';
                E <= '0';
                F <= '0';
                G <= '0';
                tmp <= tmp + 1;
            end if;

            if (tmp ="001")then
                B <= '1';
                A <= '0';
                C <= '0';
                D <= '0';
                E <= '0';
                F <= '0';
                G <= '0';
                tmp <= tmp + 1;
            end if;

            if (tmp ="010")then
                C <= '1';
                B <= '0';
                A <= '0';
                D <= '0';
                E <= '0';
                F <= '0';
                G <= '0';
                tmp <= tmp + 1;
            end if;

            if (tmp ="011")then
                D <= '1';
                B <= '0';
                C <= '0';
                A <= '0';
                E <= '0';
                F <= '0';
                G <= '0';
                E <= '1';

                if (tmp ="100")then
                    E <= '1';
                    B <= '0';
                    C <= '0';
                    D <= '0';
                    A <= '0';
                    F <= '0';
                    G <= '0';
                    tmp <= tmp+1;
                end if;

                if (tmp ="101")then
                    F <= '1';
                    B <= '0';
                    C <= '0';
                    D <= '0';
                    E <= '0';
                    A <= '0';
                    G <= '0';
                    tmp <= tmp+1;
                end if;

                if (tmp ="110")then
                    G <= '1';
                    B <= '0';
                    C <= '0';
                    D <= '0';
                    E <= '0';
                    F <= '0';
                    A <= '0';
                end if;

        end if;
    end process;
end Behavioral;

1 个答案:

答案 0 :(得分:5)

仅仅从检查开始,我会说这可能是由于缺少“结束了”;在tmp = 001和tmp = 100的情况之间。

相关问题