如何将定点VHDL类型转换回浮点数?

时间:2013-05-01 13:18:26

标签: vhdl fixed-point

我在VHDL中使用IEEE定点包。

它运行良好,但我现在面临一个关于它们在测试平台中的字符串表示的问题:我想将它们转储到文本文件中。

我发现确实可以使用以下方式直接写入ufixed或sfixed:

write(buf, to_string(x)); --where x is either sfixed or ufixed (and buf : line)

但后来我得到了像11110001.10101这样的值(用于修复q8.5表示)。

所以我的问题:如何将这些固定点数转换回实数(然后转换为字符串)?

0 个答案:

没有答案