如何在VHDL中将整数转换为固定点?

时间:2016-11-28 11:06:31

标签: vhdl fpga

我用VHDL语言编写代码。我必须将整数转换为固定点。谁能帮我?它有什么规则吗?

0 个答案:

没有答案