是否应该忽略Xilinx中的Xst 646警告?

时间:2015-04-17 18:42:24

标签: verilog fpga xilinx synthesize vlsi

在我的代码中,我使用了一些寄存器,用于存储一些值以便在代码中做出决定。他们不直接从输入线获取值。 现在,我得到......

  

已分配信号但从未使用过。这个未连接的信号会   在优化过程中进行修剪。

我应该忽略此警告吗?我的模拟工作正常。

1 个答案:

答案 0 :(得分:5)

简短的回答是:不,你不应该。答案很长(通常是)"它取决于"。

检测到未被使用的已分配信号可能意味着您忘记连接模块的端口,或者您拼错了信号名称。在这些情况下,您的设计很可能无法按预期运行。

另一方面,有一种结构通常会导致这种警告:寄存器定义为N位,但实际上只使用了其中一些(例如,8位控制寄存器)在设备中,其中仅使用位0)。在这种情况下,可以安全地忽略警告。您的模拟不会受此影响。

因此,请问问自己,您的设计中的任何其他部分是否应该使用(读取)该特定信号。