Xilinx ISE:我应该关注警告Xst:653吗?

时间:2015-07-09 18:35:22

标签: vhdl fpga xilinx-ise

我从未在代码中提及与==GND_3_o相关的任何内容。这些信号是什么,我应该关注与它们相关的这些警告,如果是这样,我该如何修复它们?

PWR_3_o

1 个答案:

答案 0 :(得分:0)

XST警告653是一个严重警告,应该在您的设计中修复,否则某些功能将无法按预期工作。

  

警告:Xst:653 - 使用信号但从未分配信号。此无源信号将自动连接到值GND。

GND_3_o听起来像是自动生成的信号。矢量ofter中的元素被转换为带下划线的信号名称。