凿子asynch fifo /多个时钟。

时间:2016-10-14 18:17:08

标签: fifo chisel

Chisel是否支持设计中的多个时钟?如果我想实现一个异步fifo,那怎么会在Chisel中做这个呢?

1 个答案:

答案 0 :(得分:2)

是Chisel支持设计中的多个时钟。如果你想使用异步fifo,你可以导入模块ChiselUtil,它包含一个异步fifo: https://github.com/ucb-bar/chisel/blob/master/src/main/scala/ChiselUtil.scala#L599

要更改寄存器的时钟域,请使用Reg()的参数时钟:

val s1 = Reg(init = UInt(0), clock = clockB)

请参阅tutorial第16章中的更多信息。